Welcome![Sign In][Sign Up]
Location:
Search - PS2 keyboard

Search list

[SCMPS2

Description: PS2键盘驱动程序,单向的,只能由键盘发向控制器,控制器读键盘。使用codevision编译器,控制器使用AVR mega16.-PS2 keyboard driver, one-way, can only be sent to the controller keyboard, controller keyboard time. Codevision compiler to use the controller to use AVR mega16.
Platform: | Size: 332800 | Author: 童童 | Hits:

[DocumentsPS2

Description: 嵌入系统开发中用的PS/2键盘或PS/2鼠标驱动程序-Embedded systems development using the PS/2 keyboard or PS/2 mouse driver
Platform: | Size: 2048 | Author: 李可辉 | Hits:

[VHDL-FPGA-VerilogPS2

Description: 使用XLINX的FPGA实现P/S2的键盘接口-The FPGA using XLINX realize P/S2 keyboard interface
Platform: | Size: 3072 | Author: toneytang | Hits:

[SCMPS2-lpc930

Description: PS2键盘接口程序,51单片机,keil c编译通过.包PS2资料(PDF)-PS2 keyboard interface program, 51 single-chip, keil c compiler through. PS2 information packet (PDF)
Platform: | Size: 622592 | Author: zhoubing | Hits:

[SCMps2_key

Description: ps2键盘动态扫描程序,满足键盘编程的基本应用-ps2 keyboard dynamic scanning procedures to meet the basic application programming keyboard
Platform: | Size: 2048 | Author: lee manjune | Hits:

[assembly languagekeyboard

Description: 实现的模拟键盘代码,模拟ps2协议,可以与计算机相连实现键盘的功能,在keil c下开发的。-89s51 achieved through keyboard simulation code, simulation ps2 agreement with the computer keyboard connected to the functions of the c Keil under development-Realize analog keyboard code, analog ps2 agreement, can realize the computer connected to the keyboard functions in keil c developed.-89s51 achieved through keyboard simulation code, simulation ps2 agreement with the computer keyboard connected to the functions of the c Keil under development
Platform: | Size: 3072 | Author: | Hits:

[assembly languagePC_KEYBD

Description: PS2 keyboard driver using ATMEGA8L series uP
Platform: | Size: 2048 | Author: Liu | Hits:

[Embeded-SCM DevelopPS2

Description: ps2键盘的时序介绍和开发程序-ps2 keyboard timing of the introduction and development of procedures
Platform: | Size: 8192 | Author: liuqing | Hits:

[SCMPS2

Description: PS2读出键盘驱动程序,C51编写,用at89c51控制-PS2 to read out the keyboard driver, C51 prepared using AT89C51 control
Platform: | Size: 2048 | Author: maomao | Hits:

[SCMPS2_KEYBOARD

Description: Atmega8实例程序之-PS2键盘的应用(SL-MEGA8开发板)-Atmega8 examples of process-PS2 keyboard application (SL-MEGA8 development board)
Platform: | Size: 35840 | Author: jeff | Hits:

[VHDL-FPGA-VerilogkeyboardScan

Description: PS2接口键盘扫描码截取电路,VHDL程序。该程序能够捕获PS2键盘按下的按键值,并将其扫描码转换成ASCII码。-PS2 keyboard scan code interception interface circuit, VHDL procedures. The program can capture PS2 keyboard press the keys values and scan codes into ASCII code.
Platform: | Size: 1024 | Author: 宁新 | Hits:

[VHDL-FPGA-VerilogPS2

Description: 单片机连接ps2键盘源码,应用于C51单片机-Singlechip ps2 keyboard connected source, applies to single-chip microcomputer C51
Platform: | Size: 220160 | Author: chen | Hits:

[SCMPS2_keyboard

Description: PS2键盘的标准协议,是嵌入式开发的很好的资料-PS2 keyboard standard protocols, embedded development is a good information
Platform: | Size: 24576 | Author: 张良 | Hits:

[VHDL-FPGA-Verilogps2_keyboard

Description: ps2 keyboard verilog源代码,支持ascii码.扫描码输出,扩展键输出,按下及释放信息输出-ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
Platform: | Size: 5120 | Author: 李志刚 | Hits:

[SCMps2+lcm1602

Description: WINAVR编写的PS2键盘驱动,跟1602显示键盘扫描码的程序-WINAVR prepared PS2 keyboard-driven, with the 1602 show the keyboard scan code of procedure
Platform: | Size: 98304 | Author: 陈国健 | Hits:

[Other Embeded programPS2communicationwithpc

Description: 标准键盘PS2与单片机之间的通信,程序经过调试正确-Standard PS2 keyboard and single-chip communication between the debugger through the correct procedures
Platform: | Size: 61440 | Author: 陆见风 | Hits:

[SCMSource

Description: PS2键盘实验源代码,Verilog语言编写-PS2 keyboard experiment source code, Verilog language
Platform: | Size: 3072 | Author: zhan | Hits:

[SCMps2

Description: PS2键盘控制LCD1602(基于51单片机) -PS2 keyboard control LCD1602 (based on 51 single-chip microcomputer)
Platform: | Size: 3072 | Author: 冀光辉 | Hits:

[Software EngineeringPS2_Keyboard_Interface

Description: PS2 KeyBoard very good info
Platform: | Size: 11264 | Author: wan mi | Hits:

[VHDL-FPGA-Verilogps2_caculator_vhdl

Description: 基于spartan3火龙刀系列FPGA开发板制作的计算器,利PS2键盘做输入控制,有很好的借鉴价值-Fire Dragon spartan3 knife series based FPGA development board produced calculators, Lee PS2 keyboard input control to do, have a good reference value
Platform: | Size: 3072 | Author: 朱东亮 | Hits:
« 1 2 34 5 6 7 8 9 10 ... 46 »

CodeBus www.codebus.net